Open Access Open Access  Restricted Access Subscription Access

Improved heat dissipation in Three-dimensional integrate circuits

M. Siva Kumar, S. Neelima, P. Dilleep, T. Avinash, G. Vandana, C. Raju

Abstract


This study investigates the impact of incorporating fins onto Thermal Through Silicon Vias (TTSV) and integrating heat spreaders into traditional Three-Dimensional Integrated Circuit (3D IC) structures on heat management. Through simulations conducted using COMSOL Multiphysics, we explore various aspects such as thermal cooling and its influence on potential distribution across the IC under different conditions. We consider three architectures: (1) 3D IC structure without fins and spreaders, (2) TTSV with graphene fins and spreaders, and (3) TTSV with Carbon Nanotube (CNT) fins and spreaders. Our findings reveal that CNT demonstrates superior thermal cooling capabilities compared to Graphene, with a significant difference exceeding 100 K. Additionally, incorporating finned structures with heat spreaders provides an additional cooling advantage of over 400 K. However, from a signal integrity perspective, graphene with fin structures outperforms other configurations. In conclusion, the proposed 3D IC structures offer efficient improvements in thermal management.


Full Text:

PDF

References


R. S. Patti, "Three-dimensional integrated circuits and the future of system-on-chip designs," Proceedings of the IEEE, vol. 94, no. 6, pp.1214-1224, 2006.

C. C. Liu, I. Ganusov, M. Burtscher, and S. Tiwari, “Bridging the processor-memory performance gap with 3D IC technology,” IEEE Design& Test of Computers,vol.22, no. 6, pp.556-564, 2005.

Y. Akasaka, “Three-dimensional IC trends,” Proceedings of the IEEE, vol. 74, no. 12, pp.1703-1714, 1986.

J.U. Knickerbocker, P.S. Andry, L.P. Buchwalter, E.G. Colgan,J. Cotte, H. Gan, R.R. Horton, Sri-Jayantha, S.M., Magerlein, J.H., Manzer, D. and McVicker, G., 2006, May. System-on- package (SOP) technology, characterization and applications. In 56th Electronic Components and Technology Conference 2006 (pp. 7-pp). IEEE.

A.D. Kraus,and A. Bar-Cohen, “Thermal analysis and control of electronic equipment,” Washington, DC, Hemisphere Publishing Corp., 1983, 633 p., 1.

K. Banerjee, S.J. Souri, P. Kapur, and K.C. Saraswat, “3-D ICs: A novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration,” Proceedings of the IEEE, 89(5), pp.602-633, 2001.

U. Kang, H.J. Chung, S. Heo, D.H. Park, H. Lee, J.H. Kim, S.H. Ahn, S.H. Cha, J. Ahn, D. Kwon, and J.W. Lee, “8 Gb 3 -D DDR3 DRAM using through-silicon-via technology,” IEEE Journal of Solid-State Circuits, 45(1), pp.111-119, 2010.

J.H. Lau, and T.G. Yue, “Thermal management of 3D IC integration with TSV (through silicon via),” In IEEE 59th Electronic Components and Technology Conference, pp. 635- 640, 2009

L.J. Huang, and W.S. Zhao, “Thermo-mechanical analysis of an improved thermal through silicon via (TTSV) structure,” Progress In Electromagnetics Research M, 30, pp.51-66, 2013.

A. Barua, M.S. Hossain, K.I. Masood, and S. Subrina, “Thermal Management in 3-D Integrated Circuits with Graphene Heat Spreaders,” Physics Procedia, 25, pp.311-316, 2012.


Refbacks

  • There are currently no refbacks.