Open Access Open Access  Restricted Access Subscription Access

A Review Report on Multi-Voltage Rule Check and Formal Verification of ASIC Design

Mythili M., Sujata D Badiger, Jayati Singh, Venkata Rangam Totakura

Abstract


In order to decrease the power dissipation in ASIC design, Multi-voltage design techniques such as Power gating, Clock gating, Power down mode, Multi-threshold, etc. are employed. To help designers verify the correct implementation of these low power design techniques, Multi-voltage Rule Check is used. The implementation of different Multi-voltage design elements such as Isolation Cell, Level Shifter Cell, Retention Cell and power aware design is explained using Unified power format (UPF). And in the design process from Register-transfer level (RTL) to Graphic Database System (GDSII) various changes are made to the netlist to make it easily testable, to satisfy the timing constraints, to optimally place and route the design. These processes introduce variations in the netlist, which must be checked to make sure that its functionality has not changed. So Formal Verification is performed to confirm that Golden netlist (Reference) and revised netlist are equivalent at different phases, example Synthesis, Design for Testability (DFT), Place and route. This paper discusses the different mathematical algorithms underlying formal Verification such as Binary decision diagram and Satisfiability solvers. It provides a detailed review of both formal verification and multi-voltage rule check.

 

Keywords: Power gating, clock gating, unified power format, binary decision diagram, satisfiability solvers

 


Full Text:

PDF

References


Bailey, S., Chidolue, G., & Crone, A. (2007). Low power design and verification techniques. Mentor Graphics, white paper.

Challa, N.K., Nelakuditi, & U.R. (2016). The New Era on Low Power Design and Verification Methodology. International Journal of Advances in Science Engineering and Technology, 4(3).

Bembaron, F., Kakkar, S., Mukherjee, R., & Srivastava, A. (2009). Low power verification methodology using UPF. Proc. DVCon, 228-233.

Gourisetty, V., Mahmoodi, H., Melikyan, V., Babayan, E., Goldman, R., Holcomb, K., & Wood, T. (2013, March). Low power design flow based on Unified Power Format and Synopsys tool chain. In 2013 3rd Interdisciplinary Engineering Design Education Conference (pp. 28-31). IEEE.

Mandal, S., Da Costa, A. B., Hazra, A., Dasgupta, P., Naware, B., Chunduri, R. M., & Basu, S. (2017, January). Formal verification of power management logic with mixed-signal domains. In 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID) (pp. 239-244). IEEE.

Gayathri, S., & Taranath, T. C. (2017, December). RTL synthesis of case study using design compiler. In 2017 International Conference on Electrical, Electronics, Communication, Computer, and Optimization Techniques (ICEECCOT) (pp. 1-7). IEEE.

Bhatt, H., Decker, J., & Desai, H. The Case for Low-Power Simulation-to-Implementation Equivalence Checking.

Varadharajan, S. K., & Nallasamy, V. (2017, March). Low power VLSI circuits design strategies and methodologies: A literature review. In 2017 Conference on Emerging Devices and Smart Systems (ICEDSS) (pp. 245-251). IEEE.

Klavakolanu, S. S., Raju, M. K., Noorbasha, F., & Kanth, B. R. (2015, January). A review report on low power VLSI systems analysis and modeling techniques. In 2015 International Conference on Signal Processing and Communication Engineering Systems (pp. 142-146). IEEE.

Sharafinejad, R., Alizadeh, B., & Fujita, M. (2015, April). UPF-based formal verification of low power techniques in modern processors. In 2015 IEEE 33rd VLSI Test Symposium (VTS) (pp. 1-6). IEEE.

Seligman, E., & Yarom, I. (2006). Best known methods for using Cadence Conformal LEC at Intel. In Cadence User Conference.

Brooks, D., Bose, P., Srinivasan, V., Gschwind, M. K., Emma, P. G., & Rosenfield, M. G. (2003). New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors. IBM Journal of Research and Development, 47(5.6), 653-670.

Hazra, A., Goyal, S., Dasgupta, P., & Pal, A. (2012). Formal verification of architectural power intent. IEEE transactions on very large scale integration (VLSI) systems, 21(1), 78-91.

Seligman, E., Schubert, T., & Kumar, M. A. K. (2015). Formal verification: an essential toolkit for modern VLSI design. Morgan Kaufmann.

Muslim, F. B., Qamar, A., & Lavagno, L. (2015, September). Low power methodology for an ASIC design flow based on high-level synthesis. In 2015 23rd International Conference on Software, Telecommunications and Computer Networks (SoftCOM) (pp. 11-15). IEEE.

Prasad, M. R., Biere, A., & Gupta, A. (2005). A survey of recent advances in SAT-based formal verification. International Journal on Software Tools for Technology Transfer, 7(2), 156-173.

Wang, F. (2004). Formal verification of timed systems: A survey and perspective. Proceedings of the IEEE, 92(8), 1283-1305.

Xu, Z., Yan, X., Lu, Y., & Ge, H. (2003, November). Equivalence checking using independent cuts [logic design verification]. In 2003 Test Symposium (pp. 482-485). IEEE.

Chandramouli, B., Tsai, H. C., Pandey, M., Lin, C. C., & Das, M. M. (2010). U.S. Patent No. 7,694,251. Washington, DC: U.S. Patent and Trademark Office.

Goldberg, E. I., Prasad, M. R., & Brayton, R. K. (2001, March). Using SAT for combinational equivalence checking. In Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001 (pp. 114-121). IEEE.


Refbacks

  • There are currently no refbacks.